Разработка функциональных узлов ЭВМ

Автор работы: Пользователь скрыл имя, 18 Января 2013 в 05:18, курсовая работа

Краткое описание

Исходные данные к проекту: Спроектировать 12-и разрядный сдвиговый регистр с возможностью или последовательной загрузки или параллельной загрузки через 4х контактный разъем за 12 и 3 такта соответственно. Организовать возможность поразрядного сдвига уже загруженной информации вправо. Организовать 4 режима индикации: Загрузка, сдвиг, ошибка, индикация состояния регистра.

Содержание

Алгоритм работы устройства 3
Введение 4
Анализ задачи 7
Перечень выбранных электронных компонентов 8
Синтез
Синтез логических функций для ПЗУ 15
Синтез КС 19
Моделирование
Структурное моделирование устройства 21
Поведенческое моделирование 21
Временные диаграммы
Временные диаграммы структурного описания 31
Временные диаграммы поведенческого описания 35
Анализ Временных задержек 40
Заключение 41
Библиографический список 42

Вложенные файлы: 1 файл

Курсач.docx

— 1.11 Мб (Скачать файл)


Министерство образования  Российской Федерации

Государственное образовательное  учреждение высшего 

профессионального образования

 «Тихоокеанский государственный  университет»

 

 

 

 

Кафедра «Вычислительная  техника»

 

 

 

 

 

РАЗРАБОТКА  ФУНКЦИОНАЛЬНЫХ УЗЛОВ ЭВМ

 

 

 

Курсовой проект

 по дисциплине: «Схемотехника ЭВМ»

 

 

12-и  разрядный сдвиговый регистр  с возможностью последовательной  или параллельной загрузки и  индикацией

 

 

Выполнил:     студент гр. ВМ-91Анисимов А.В.

 

 

 

Проверил:    старший преподаватель Агеев В. В.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Хабаровск – 2012г.

сщке


 

 

 

 

 

 

 

 

 

 

 

 

 

 

Тихоокеанский государственный  университет

Кафедра «Вычислительная  техника»

«Утверждаю»

Зав. кафедрой _______________

«____» _______________200__ г.

 

З А Д А Н  И Е

по курсовому  проектированию

по дисциплине «Схемотехника ЭВМ»

 

Студенту  4 курса группы ВМ-91 Факультета АИТ

Анисимову Андрею Валерьевичу

Дата выдачи задания 13 сентября 2012 г.

Срок выполнения проекта  и сдачи зачета 30 декабря 2012 г.

 

1. Тема проекта: Разработка функциональных узлов ЭВМ.

2. Исходные данные к  проекту: Спроектировать 12-и разрядный сдвиговый регистр с возможностью или последовательной загрузки или параллельной загрузки через 4х контактный разъем за 12 и 3 такта соответственно. Организовать возможность поразрядного сдвига уже загруженной информации вправо. Организовать 4 режима индикации: Загрузка, сдвиг, ошибка, индикация состояния регистра.

3. Перечень подлежащих  разработке вопросов:

разработкака  алгоритма работы устройства;

рзработка функциональной схемы устройства;

разработка VHDL-модель цифрового устройства;

разработана принципиальная схема устройства, выбор и обоснование  элементной базы;

построение временных  диограмм работы устройства и расчет параметров, характеризующих его  быстродействие.

4. Перечень графического  материала:

функциональная  и принципиальная схемы устройства (лист А2);

 

 

   Руководитель проекта:

 

 

Задание принял к исполнению:

 

 


Изм.

Лист

№ докум.

Подпись

Дата

Лист

2

Анисимов А. В. КП. ВМ-91.090700505


Содержание:

Алгоритм  работы устройства                                                                                  3

Введение                                                                                                                        4

Анализ  задачи                                                                                                              7

Перечень  выбранных электронных компонентов                                              8

Синтез

Синтез  логических функций для ПЗУ                                                      15

Синтез  КС                                                                                                        19

 

Моделирование

Структурное моделирование устройства                                                 21

Поведенческое моделирование                                                                   21

 

Временные диаграммы

Временные диаграммы структурного описания                                    31

Временные диаграммы поведенческого описания                                35

 

 

Анализ  Временных задержек                                                                                 40

Заключение                                                                                                                41

Библиографический список                                                                                   42

 


Изм.

Лист

№ докум.

Подпись

Дата

Лист

4

Анисимов А. В. КП. ВМ-91.090700505


Введение:

 

Регистр — последовательное или параллельное логическое устройство, используемое для хранения n-разрядных  двоичных чисел и выполнения преобразований над ними.

 

Регистр представляет собой  упорядоченную последовательность триггеров, обычно D, число которых  соответствует числу разрядов в  слове. С каждым регистром обычно связано комбинационное цифровое устройство, с помощью которого обеспечивается выполнение некоторых операций над  словами.

 

 

Рис. 1. 4-х разрядный сдвиговый регистр, преобразователь последовательного кода в параллельный

 

 

Фактически любое цифровое устройство можно представить в  виде совокупности регистров, соединённых  друг с другом при помощи комбинационных цифровых устройств.

 

Основой построения регистров  являются D-триггеры, RS-триггеры.

 

 

 


Типичными для регистров являются следующие операцииИзм.

Лист

№ докум.

Подпись

Дата

Лист

5

Анисимов А. В. КП. ВМ-91.090700505


:

  • приём слова в регистр;
  • передача слова из регистра;
  • поразрядные логические операции;
  • сдвиг слова влево или вправо на заданное число разрядов;
  • преобразование последовательного кода слова в параллельный и обратно;
  • установка регистра в начальное состояние (сброс).

 

Регистры классифицируются по следующим видам:

  • накопительные (регистры памяти, хранения);
  • сдвигающие.

 

В свою очередь сдвигающие по способу ввода-вывода делятся:

  • параллельные - запись и считывание информации происходит одновременно на все входы и со всех выходов;
  • последовательные - запись и считывание информации происходит в первый триггер, а та информация, которая была в этом триггере, перезаписывается в следующий - то же самое происходит и с остальными триггерами;
  • комбинированные;

 

Последовательные (сдвигающие) регистры представляют собою цепочку  разрядных схем, связанных цепями переноса. Основной режим работы —  сдвиг разрядов кода от одного триггера к другому на каждый импульс тактового  сигнала. В однотактных регистрах  со сдвигом на один разряд вправо слово  сдвигается при поступлении синхросигнала. Вход и выход последовательные (англ. Data Serial Right, DSR).

 


Изм.

Лист

№ докум.

Подпись

Дата

Лист

6

Анисимов А. В. КП. ВМ-91.090700505


Согласно требованиям  синхронизации в сдвигающих регистрах, не имеющих логических элементов  в межразрядных связях, нельзя применять одноступенчатые триггеры, управляемые уровнем, поскольку некоторые триггеры могут за время действия разрешающего уровня синхросигнала переключиться неоднократно, что недопустимо. Появление в межразрядных связях логических элементов, и тем более, логических схем неединичной глубины упрощает выполнение условий работоспособности регистров и расширяет спектр типов триггеров, пригодных для этих схем. Многотактные сдвигающие регистры управляются несколькими синхропоследовательностями. Из их числа наиболее известны двухтактные с основным и дополнительным регистрами, построенными на простых одноступенчатых триггерах, управляемых уровнем. По такту С1 содержимое основного регистра переписывается в дополнительный, а по такту С2 возвращается в основной, но уже в соседние разряды, что соответствует сдвигу слова. По затратам оборудования и быстродействию этот вариант близок к однотактному регистру с двухступенчатыми триггерами.

 

Примеры:

SN74ALS164 (КР1533ИР8) — восьмиразрядный  сдвиговый регистр с последовательной  загрузкой и параллельной выгрузкой.  Оснащён двумя входами, A и B, что  позволяет заперев один из  них, осуществлять ввод данных  в последовательном коде по  другому входу.

SN74ALS165 (КР1533ИР9)— восьмиразрядный сдвиговый регистр с параллельной выгрузкой, работающий в двух режимах: параллельной загрузки и сдвига,

SN74198 (КР1533ИР13) — восьмиразрядный  реверсивный сдвиговый регистр,  имеющий четыре режима работы: параллельная загрузка, сдвиг влево,  сдвиг вправо и блокировка.

 

SN74LS295 (КР1533ИР16) — четырёхразрядный  реверсивный сдвиговый регистр  с тремя состояниями выходов,  имеющий четыре режима работы: параллельная загрузка, сдвиг влево,  сдвиг вправо и блокировка.

 

Анализ задачи:


ДляИзм.

Лист

№ докум.

Подпись

Дата

Лист

7

Анисимов А. В. КП. ВМ-91.090700505


 Реализации задачи  нам понадобятся 3 четырехразрядных  сдвиговых регистра по одной  тетраде 12-и разрядного слова на каждый регистр. Индикация так же потетрадная в 16-ричном режиме непосредственно с каждого регистра, управляемая соответствующим логическим входом. Режим сдвига обеспечим с помощью простейшей КС и дополнительного входа «Разрешение Сдвига». При сдвиге более чем на 12 символов или сдвига всех нулей в регистре выведем на индикаторы сообщение об ошибке. В качестве дешифратора семисегментного дисплея выберем микросхему ПЗУ, в которую запишем произвольные логический функции для корректного отображения 16-ричной индикации и индикации дополнительных символов. Выбор режимов работы и соответствующих режимов индикации реализуем с помощью КС, управляющей состоянием мультиплексоров, на входы которых подадим нужные нам символы разрядов выходных слов. Примерная схема будущего устройства представлена на рисунке 2.

 

 

 


Изм.

Лист

№ докум.

Подпись

Дата

Лист

8

Анисимов А. В. КП. ВМ-91.090700505


Рис. 2. Функциональная схема устройства

 

 

Перечень выбранных  электронных компонентов и их аналогов:

 

1.Регистры

Для реализации в первую очередь понадобится 4х разрядный  сдвиговый регистр с возможностью параллельной и последовательной загрузки. Для этих целей хорошо подходит К155ИР1.

Микросхема представляет собой четырехразрядный универсальный  сдвиговый регистр. Корпус К155ИР1 типа 201.14-1, масса не более 1 г

 

 

 


Рис. 3. Изм.

Лист

№ докум.

Подпись

Дата

Лист

9

Анисимов А. В. КП. ВМ-91.090700505


Корпус К155ИР1

 

 


       1 - информационный вход V1;

       2 - вход первого разряда D1;

       3 - вход второго разряда D2;

       4 - вход третьего разряда D3;

       5 - вход четвертого разряда D4;

  6 - вход выбора режима V2;

       7 - общий;

       8 - вход синхронизации C2;

       9 - вход синхронизации C1;

       10 - выход четвертого разряда;

       11 - выход третьего разряда;

       12 - выход второго разряда;

       13 - выход первого разряда;

       14 - напряжение питания.

 

Рис. 4. Условное графическое обозначение К155ИР1

 

 

 

Для реализации потребуется  три подобных микросхемы. Зарубежными аналогами этого регистра являются микросхемы SN7495N, SN7495J. При структурном моделировании в САПР maxplus2 ALTERA, взяты примитивы 7495, соответствующие данному регистру, из стандартно включенной библиотеки.

 


Изм.

Лист

№ докум.

Подпись

Дата

Лист

10

Анисимов А. В. КП. ВМ-91.090700505


2.Мультиплексоры

Возможность индикации состояний, в которых находится схема, определяется наличием мультиплексоров 4-1, на входы  которых подаются выходы с регистров, а также соответствующие режимам  индикации 5-и разрядные слова  для корректного отображения  состояния регистра. Для этих целей  выбран сдвоенный дешифратор 4-1 с  общими управляющими входами К155КП2 или К531КП2

Информация о работе Разработка функциональных узлов ЭВМ